山东大学电子设计自动化(EDA)硬件实验-实验 2 四位比较器

一:  实验目的

1.设计四位二进制码比较器,并在实验开发系统上验证。

2.学习层次化设计方法。

二:  实验仪器与器材

1.EDA 开发软件

2.微机

3.实验开发系统

4.打印机

5.其他器件与材料

三:  实验说明

本实验实现两个 4 位二进制码的比较器,输入为两个 4 位二进制码 A3 A2 A1 A0 和 B3 B2 B1 B0, 输出为 M(A=B), G(A>B)和 L(A<B)(见图 3.1)。用高低电平开关作为输入,发光二极管作为输出,具体管脚安排可根据实验系统的实际情况自行定义。

四:  实验要求

1.用硬件描述语言编写4位二进制码比较器的源文件;

2.对设计进行仿真验证;

3.编程下载并在实验开发系统上进行硬件 验证。

五:  实验报告要求

1.写出比较器的 HDL 源文件;

2.写出仿真结果;

六:  实验内容

1.源文件

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;

entity compare4 is
port(A:in std_logic_vector(3 downto 0);
		 B:in std_logic_vector(3 downto 0);
		 M,G,L:out std_logic);
end compare4;

architecture info of compare4 is
begin
	p1:process(A,B)
	begin
		if(A>B) then G<='1';M<='0';L<='0';
		elsif(A<B) then G<='0';M<='0';L<='1';
		elsif(A=B) then G<='0';M<='1';L<='0';
		else G<='1';M<='1';L<='1';
		end if;
	end process p1;
end architecture;

2.编译情况

3.引脚配置

4.仿真波形图:

© 版权声明
THE END
喜欢就支持以下吧
点赞0赞赏 分享
评论 抢沙发

请登录后发表评论

    暂无评论内容