山东大学电子设计自动化(EDA)硬件实验-实验6 七人表决器设计

一: 实验目的

学习表决器电路的设计方法并体会使用 HDL 进行设计的方法和优点。

二:  实验仪器与器材

1.EDA 开发软件

2.微机

3.实验开发系统

4.打印机

5.其他器件与材料

三:  实验说明

本实验要求设计一个7 人表决电路,从理论上讲,可以采用真值表、布尔方程 或原理图实现,但因输入信号为7 个,要 列出完全真值表过于复杂。本实验以采用 HDL 语言实现最为简便。实验框图如图 8.3 所示,下载时的管脚可根据实验系统自行安排。

四:  实验要求

1.任选一种方法设计并写出设计过程。

2.编写测试向量文件并进行功能仿真。

3.下载并验证。

五:  实验报告要求

1.写出编写的表决器源文件。

2.写出测试向量文件。

3.写出仿真和测试结果。

六: 实验内容:

  1. 源文件:
library ieee;
use ieee.std_logic_1164.all;

entity vote is
	port(a:in std_logic_vector(6 downto 0);
	y:out std_logic);
end vote;

architecture info of vote is
begin process(a)
	variable i:integer;
	begin i:=0;
	if(a(0)='1') then i:=i+1;
	end if;
	if(a(1)='1') then i:=i+1;
	end if;
	if(a(2)='1') then i:=i+1;
	end if;
	if(a(3)='1') then i:=i+1;
	end if;
	if(a(4)='1') then i:=i+1;
	end if;
	if(a(5)='1') then i:=i+1;
	end if;
	if(a(6)='1') then i:=i+1;
	end if;
	if(i>3)
	then y<='1';
	else y<='0';
	end if;
end process;
end info;

2.编译情况

3.引脚配置:

4.仿真波形图:

© 版权声明
THE END
喜欢就支持以下吧
点赞0赞赏 分享
评论 抢沙发

请登录后发表评论

    暂无评论内容